http://www.facebook.com/yudha.pradipta.1

Thursday 23 December 2010

0
7 Segment Display Dalam VHDL(Xilinx Spartan 3E)

     Seven segment adalah sekumpulan led yang terintegrasi dalam suatu piranti yang membentuk digit-digit angka dari 0-9. Seven segment ini terbagi menjadi dua jenis yaitu common anoda dan common katoda, yang membedakan dari keduaya adalah aktif low(0) dan aktif high(1) atau berdasarkan ground dan vcc nya. Seven-segment membutuhkan 7 sinyal inputan untuk mengaktifkan led yang ada .
     Setiap led membutuhkan inputan High(1) dan Low(0), tergantung dari jenis seven segmentnya. Jika Seven segment bertipe common katoda, maka dibutuhkan sinyal High(1) untuk mengaktifkan setiap lednya. Sebaliknya, untuk yang bertipe common anoda, dibutuhkan input Low(0) untuk mengaktifkan setiap lednya.

     di bawah ini adalah contoh program VHDL(Xilinx Spartan 3E) sederhana untuk menampilkan huruf "F" pada seven segment, berikut codingnya :

library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;

entity ffff is
    Port ( LED : out std_logic_vector(6 downto 0));
end ffff;

architecture Behavioral of ffff is
begin
  LED <= "0001110";   -- writes the 'F' pattern to the led.
end Behavioral;
 
 Dan hasil output pada program di atas adalah seperti gambar yang ada di bawah ini :

Sumber :
elektronika-elektronika
derepas

0 komentar:

Post a Comment

Silahkan berkomentar, namun tetap jaga kesopanan dengan tidak melakukan komentar spam, dan tuliskan nama jelas.

 
BASIC IT | © 2010 by DheTemplate.com | Supported by Promotions And Coupons Shopping & WordPress Theme 2 Blog