http://www.facebook.com/yudha.pradipta.1

Monday 25 October 2010

0
Library dan Package pada VHDL

Library IEEE:
        use IEEE.std_logic_1164.all;
        use IEEE.std_logic_textio.all;
        use IEEE.std_logic_arith.all;
        use IEEE.numeric_bit.all;
        use IEEE.numeric_std.all;
        use IEEE.std_logic_signed.all;
        use IEEE.std_logic_unsigned.all;
        use IEEE.math_real.all;
        use IEEE.math_complex.all;
 
 
Library STD:
      use STD.standard.all;
      use STD.textio.all;
 
 
Library WORK:
      Sebuah pendeklarasian yang implisit,dimana semua source codenya akan dimasukkan ke library tersebut. 
 
contoh: 
      use WORK.data_types.all;
      dll.
 
 
sumber : http://www.cs.umbc.edu/portal/help/VHDL/stdpkg.html 

0 komentar:

Post a Comment

Silahkan berkomentar, namun tetap jaga kesopanan dengan tidak melakukan komentar spam, dan tuliskan nama jelas.

 
BASIC IT | © 2010 by DheTemplate.com | Supported by Promotions And Coupons Shopping & WordPress Theme 2 Blog